HOME | KONTAKT | Switch EN

Logo Universität Bremen
LOGO AGRA | AG Rechnerarchitektur



Arbeitsgruppe Rechnerarchitektur / AGRA | Informatik | FB03 | Universität Bremen

Zeitschriften


Cover
Trends and challenges in design of embedded BCH error correction codes in multi-levels NAND flash memory devices
Autor: Saeideh Nabipour, Javad Javidan, Rolf Drechsler
Zeitschrift: Memories-Materials, Devices, Circuits and Systems
Details: DOI: 10.1016/j.memori.2024.100099
Jahr: 2024

Cover
Special issue on in-memory computing: Circuits, system, architecture and verification
Autor: Kamalika Datta, Rolf Drechsler
Zeitschrift: Memories-Materials, Devices, Circuits and Systems
Details: DOI: 10.1016/j.memori.2023.100062, Volume 5
Jahr: 2023

Cover
Should We Even Optimize for Execution Energy? Rethinking Mapping for MAGIC Design Style
Autor: Simranjeet Singh, Chandan Kumar Jha, Ankit Bende, Phrangboklang Lyngton Thangkhiew, Vikas Rana, Sachin Patkar, Rolf Drechsler, Farhad Merchant
Zeitschrift: IEEE Embedded Systems Letters (ESL)
Details: DOI: 10.1109/LES.2023.3298740
Jahr: 2023

Cover
Synergistic Verification of Hardware Peripherals through Virtual Prototype Aided Cross-Level Methodology Leveraging Coverage-Guided Fuzzing and Co-Simulation
Autor: Sallar Ahmadi-Pour, Mathis Logemann, Vladimir Herdt, Rolf Drechsler
Zeitschrift: Chips
Details: DOI: 10.3390/chips2030012, Volume 2, Issue 3, pp. 195-208
Jahr: 2023

Cover
ReSG: A Data Structure for Verification of Majority based In-Memory Computing on ReRAM Crossbars
Autor: Kousik Bhunia, Arighna Deb, Kamalika Datta, Muhammad Hassan, Saeideh Shirinzadeh, Rolf Drechsler
Zeitschrift: ACM Transactions on Embedded Computing Systems
Details: DOI: 10.1145/3615358
Jahr: 2023

Cover
KI-gestützte Optimierung repetitiver Prozesse - Eine Kodierungstechnik für repetitive Prozesse in der evolutionären Optimierung
Autor: Christina Plump, Bernhard J. Berger, Rolf Drechsler
Zeitschrift: Industrie 4.0 Management
Details: DOI: 10.30844/IM_23-1_19-22, IM 39, pp. 19-22
Jahr: 2023

Cover
AI-Driven and Automated MRI Sequence Optimization in Scanner-Independent MRI Sequences Formulated by a Domain-Specific Language
Autor: Daniel Christopher Hoinkiss, Jörn Huber, Christina Plump, Christoph Lüth, Rolf Drechsler, Matthias Günther
Zeitschrift: Frontiers in Neuroimaging
Details: DOI: 10.3389/fnimg.2023.1090054, Volume 2-2023
Jahr: 2023

Cover
Impact of Sneak Paths on In-Memory Logic Design in Memristive Crossbars Information Technology
Autor: Kamalika Datta, Arighna Deb, Abhoy Kole, Rolf Drechsler
Zeitschrift: it-Information Technology
Details: DOI: 10.1515/itit-2023-0020
Jahr: 2023

Cover
A Novel Default Risk Prediction and Feature Importance Analysis Technique for Marketplace Lending using Machine Learning
Autor: Sana Hassan Imam, Sebastian Huhn, Lars Hornuf, Rolf Drechsler
Zeitschrift: Journal of Credit and Capital Markets
Details: DOI: 10.3790/ccm.56.1.27, Vol. 56 (2023), Iss. 1 : pp. 27–62
Jahr: 2023

Cover
MARADIV: Library of MAGIC based Approximate Restoring Array Divider Benchmark Circuits for In-Memory Computing Using Memristors
Autor: Chandan Kumar Jha, Sallar Ahmadi-Pour, Rolf Drechsler
Zeitschrift: IEEE Transactions on Circuits and Systems II: Express Briefs
Details: DOI: 10.1109/TCSII.2023.3242976, Volume: 70 Issue: 7
Jahr: 2023

Cover
Specification-based Symbolic Execution for Stateful Network Protocol Implementations in the IoT
Autor: Sören Tempel, Vladimir Herdt, Rolf Drechsler
Zeitschrift: IEEE Internet of Things Journal
Details: DOI: 10.1109/JIOT.2023.3236694, Volume: 10 Issue: 11
Jahr: 2023

Cover
IMAGIN: Library of IMPLY and MAGIC NOR Based Approximate Adders for In-Memory Computing
Autor: Chandan Kumar Jha, Phrangboklang Lyngton Thangkhiew, Kamalika Datta, Rolf Drechsler
Zeitschrift: IEEE Journal on Exploratory Solid-State Computational Devices and Circuits
Details: DOI: 10.1109/JXCDC.2022.3222015, Volume: 8 Issue: 2
Jahr: 2022

Cover
The MicroRV32 framework: An Accessible and Configurable Open Source RISC-V Cross-Level Platform for Education and Research
Autor: Sallar Ahmadi-Pour, Vladimir Herdt, Rolf Drechsler
Zeitschrift: Journal of Systems Architecture - Embedded Software Design (JSA)
Details: DOI: 10.1016/j.sysarc.2022.102757, Volume 133, 2022
Jahr: 2022

Cover
Advanced Embedded System Modeling and Simulation in an Open Source RISC-V Virtual Prototype
Autor: Pascal Pieper, Vladimir Herdt and Rolf Drechsler
Zeitschrift: Journal of Low Power Electronics and Applications
Details: DOI: 10.3390/jlpea12040052, 12(4):52
Jahr: 2022

Cover
Feed-Forward learning algorithm for resistive memories
Autor: Dev Narayan Yadav, Phrangboklang Lyngton Thangkhiew, Kamalika Datta, Sandip Chakraborty, Rolf Drechsler and Indranil Sengupta
Zeitschrift: Journal of System Architecture (JSA)
Details: DOI: 10.1016/j.sysarc.2022.102730, 131 (2022) 102730
Jahr: 2022

Cover
FAMCroNA: Fault Analysis in Memristive Crossbars for Neuromorphic Applications
Autor: Dev Narayan Yadav, Phrangboklang Lyngton Thangkhiew, Kamalika Datta, Sandip Chakraborty, Rolf Drechsler, Indranil Sengupta
Zeitschrift: Journal of Electronic Testing, Springer
Details: DOI: 10.1007/s10836-022-06001-2, Volume 38, pages 145–163 (2022)
Jahr: 2022

Cover
Early SoCs Information Flow Policies Validation using SystemC-based Virtual Prototypes at the ESL
Autor: Mehran Goli, Rolf Drechsler
Zeitschrift: ACM Transactions on Embedded Computing Systems (TECS)
Details: DOI: 10.1145/3544780
Jahr: 2022

Cover
Equivalence Checking of System-Level and SPICE-Level Models of Linear Circuits
Autor: Kemal Çağlar Coşkun, Muhammad Hassan, Rolf Drechsler
Zeitschrift: Chips
Details: DOI 10.3390/chips1010006, Volume 1, Issue 1, pp. 54-71
Jahr: 2022

Cover
Power-aware Test Scheduling Framework for IEEE 1687 Multi-Power Domain Networks using Formal Techniques
Autor: Payam Habiby, Sebastian Huhn, Rolf Drechsler
Zeitschrift: Microelectronics Reliability
Details: DOI: 10.1016/j.microrel.2022.114551, Volume 134, pp. 1-11
Jahr: 2022

Cover
Towards Quantification and Visualization of the Effects of Concretization during Concolic Testing
Autor: Sören Tempel, Vladimir Herdt, Rolf Drechsler
Zeitschrift: IEEE Embedded Systems Letters (ESL)
Details: DOI: 10.1109/LES.2022.3171603, Volume: 14 Issue: 4
Jahr: 2022

Cover
CoMIC: Complementary Memristor based in-memory computing in 3D architecture
Autor: F. Lalchhandama, Kamalika Datta, S. Chakraborty, Rolf Drechsler, I. Sengupta
Zeitschrift: Journal of Systems Architecture (JSA)
Details: DOI: 10.1016/j.sysarc.2022.102480, Volume 126, Article 102480
Jahr: 2022

Cover
Template-based mapping of reversible circuits to IBM quantum computers
Autor: Philipp Niemann, Alexandre A. A. de Almeida, Gerhard Dueck, Rolf Drechsler
Zeitschrift: Microprocessors and Microsystems (MICPRO)
Details: DOI: 10.1016/j.micpro.2022.104487, Volume 90, April 2022
Jahr: 2022

Cover
SymEx-VP: An Open Source Virtual Prototype for OS-Agnostic Concolic Testing of IoT Firmware
Autor: Sören Tempel, Vladimir Herdt, Rolf Drechsler
Zeitschrift: Journal of Systems Architecture - Embedded Software Design (JSA)
Details: DOI: 10.1016/ j.sysarc.2022.102456, Volume 126
Jahr: 2022

Cover
Unlocking Approximation for In-Memory Computing with Cartesian Genetic Programming and Computer Algebra for Arithmetic Circuits
Autor: Saman Fröhlich, Rolf Drechsler
Zeitschrift: it-Information Technology
Details: DOI: 10.1515/itit-2021-0042
Jahr: 2022

Cover
Verzahnung von Data Stewardship und Data Science – Wege und Perspektiven
Autor: Lena Steinmann, Rolf Drechsler
Zeitschrift: Bausteine Forschungsdatenmanagement
Details: DOI: 10.17192/bfdm.2021.3.8342, Nummer 3, pp. 83-91
Jahr: 2021

Cover
Disziplinübergreifendes Modell zur Ausbildung von Forschungsdatenmanagement und Data Science Kompetenzen: „Data Train – Training in Research Data Management and Data Science“
Autor: Tanja Hörner, Frank Oliver Glöckner, Rolf Drechsler, Iris Pigeot
Zeitschrift: Bausteine Forschungsdatenmanagement
Details: DOI: 10.17192/bfdm.2021.3.8343, Nummer 3, pp. 57-69
Jahr: 2021

Cover
Experimental Methods to Enable High-Throughput Characterization of New Structural Materials
Autor: Nils Ellendt, Brigitte Clausen, Nicole Mensching, Daniel Meyer, Christina Plump, Heike Sonnenberg, Matthias Steinbacher, Anastasiya Tönjes
Zeitschrift: JOM: the journal of the Minerals, Metals & Materials Society
Details: DOI: 10.1007/s11837-021-04901-w, JOM 73, 3347–3355
Jahr: 2021

Cover
Advanced Virtual Prototyping for Cyber-Physical Systems using RISC-V: Implementation, Verification and Challenges
Autor: Vladimir Herdt, Rolf Drechsler
Zeitschrift: Science China Information Sciences (SCIS)
Details: DOI: 10.1007/s11432-020-3308-4
Jahr: 2021

Cover
RevSCA-2.0: SCA-based Formal Verification of Non-trivial Multipliers using Reverse Engineering and Local Vanishing Removal
Autor: Alireza Mahzoon, Daniel Große, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)
Details: DOI: 10.1109/TCAD.2021.3083682, Volume: 41 Issue: 5, pp.1573-1586
Jahr: 2021

Cover
Towards RISC-V CSR Compliance Testing
Autor: Niklas Bruns, Vladimir Herdt, Daniel Große, Rolf Drechsler
Zeitschrift: IEEE Embedded Systems Letters (ESL)
Details: DOI: 10.1109/LES.2021.3077368, Volume: 13 Issue: 4, pp. 202-205
Jahr: 2021

Cover
Parallel Computing of Graph-Based functions in ReRAM
Autor: Saman Fröhlich, Saeideh Shirinzadeh, Rolf Drechsler
Zeitschrift: Journal on Emerging Technologies in Computing Systems (JETC)
Details: DOI: 10.1145/3453163, Volume 18, Issue 2, Article No. 41, pp 1–2
Jahr: 2021

Cover
An ant colony based mapping of quantum circuits to nearest neighbor architectures
Autor: Anirban Bhattacharjee, Chandan Bandyopadhyay, Angshu Mukherje, Robert Wille, Rolf Drechsler, Hafizur Rahamana
Zeitschrift: Integration
Details: DOI: 10.1016/j.vlsi.2020.12.002, Volume 78, May 2021, Pages 11-24
Jahr: 2021

Cover
Adaptive Simulation with Virtual Prototypes in an Open-Source RISC-V Evaluation Platform
Autor: Vladimir Herdt, Daniel Große, Sören Tempel, Rolf Drechsler
Zeitschrift: Journal of Systems Architecture - Embedded Software Design (JSA)
Details: DOI: 10.1016/j.sysarc.2021.102135, Volume 116
Jahr: 2021

Cover
Through the Looking Glass: Automated Design Understanding of SystemC-based VPs at the ESL
Autor: Mehran Goli, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD)
Details: DOI: 10.1109/TCAD.2021.3074050, Volume: 41 Issue: 4, pp. 1181-1185
Jahr: 2021

Cover
On the Difficulty of Inserting Trojans in Reversible Computing Architectures
Autor: Xiaotong Cui, Samah Mohamed Saeed, Alwin Zulehner, Robert Wille, Kaijie Wu, Rolf Drechsler, Ramesh Karri
Zeitschrift: IEEE Transactions on Emerging Topics in Computing
Details: DOI 10.1109/TETC.2018.2823315, Volume: 8, Issue: 4, Oct.-Dec. 1 2020
Jahr: 2020

Cover
An Improved Heuristic Technique for Nearest Neighbor Realization of Quantum Circuits in 2D Architecture
Autor: Anirban Bhattacharjee, Chandan Bandyopadhyay, Philipp Niemann, Bappaditya Mondal, Rolf Drechsler, Hafizur Rahaman
Zeitschrift: Integration
Details: DOI 10.1016/j.vlsi.2020.09.003, Volume 76, January 2021, Pages 40-54
Jahr: 2020

Cover
Improving the Designs of Nearest Neighbor Quantum Circuits for 1D and 2D Architectures
Autor: Chandan Bandyopadhyay, Anirban Bhattacharjee, Robert Wille, Rolf Drechsler, Hafizur Rahaman
Zeitschrift: IETE Journal of Research
Details: DOI: 10.1080/03772063.2020.1822215, Volume 69, 2023 - Issue 1, pp. 340-353
Jahr: 2020

Cover
ReCoFused partial reconfiguration for secure moving-target countermeasures on FPGAs
Autor: Buse Ustaoğlu, Kenneth Schmitz, Daniel Große, Rolf Drechsler
Zeitschrift: SN Applied Sciences | Springer Nature
Details: DOI 10.1007/s42452-020-3003-x, Article number: 1363 (2020)
Jahr: 2020

Cover
Advanced Exact Synthesis of Clifford+T Circuits
Autor: Philipp Niemann, Robert Wille, Rolf Drechsler
Zeitschrift: Quantum Information Processing
Details: DOI: 10.1007/s11128-020-02816-0, 19, Article number: 317 (2020)
Jahr: 2020

Cover
Overcoming the Trade-off Between Accuracy and Compactness in Decision Diagrams for Quantum Computation
Autor: Philipp Niemann, Alwin Zulehner, Rolf Drechsler, Robert Wille
Zeitschrift: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2020.2977603, Volume: 39 Issue: 12
Jahr: 2020

Cover
On the Impact of the Synchronization Constraint and Interconnections in Quantum-dot Cellular Automata
Autor: Frank Sill Torres, Pedro A. Silva, Geraldo Fontes, Marcel Walter, José Augusto M. Nacif, Ricardo Santos Ferreira, Omar Paranaiba Vilela Neto, Jeferson F. Chaves, Robert Wille, Philipp Niemann, Daniel Große, Rolf Drechsler
Zeitschrift: Microprocessors and Microsystems (MICPRO)
Details: DOI: 10.1016/j.micpro.2020.103109, Volume 76, July 2020
Jahr: 2020

Cover
PREASC: Automatic Portion Resilience Evaluation for Approximating SystemC-based Designs using Regression Analysis Techniques
Autor: Mehran Goli, Rolf Drechsler
Zeitschrift: ACM Transactions on Design Automation of Electronic Systems (TODAES)
Details: DOI: 10.1145/3388140, Volume: 25, number: 5, numpages: 28
Jahr: 2020

Cover
RISC-V based Virtual Prototype: An Extensible and Configurable Platform for the System-level
Autor: Vladimir Herdt, Daniel Große, Pascal Pieper, Rolf Drechsler
Zeitschrift: Journal of Systems Architecture - Embedded Software Design (JSA)
Details: DOI: 10.1016/j.sysarc.2020.101756, Volume 109
Jahr: 2020

Cover
Reversible Circuits: IC/IP Piracy Attacksand Countermeasures
Autor: Samah Mohamed Saeed, Alwin Zulehner, Robert Wille, Rolf Drechsler and Ramesh Karri
Zeitschrift: IEEE Transactions On Very Large Scale Integration (VLSI) Systems
Details: DOI: 10.1109/TVLSI.2019.2934465, Volume: 27 Issue: 11, pp. 1-13
Jahr: 2019

Cover
Near Zero-Energy Computation Using Quantum-dot Cellular Automata
Autor: Frank Sill Torres, Robert Wille, Philipp Niemann, Rolf Drechsler
Zeitschrift: Journal on Emerging Technologies in Computing Systems (JETC)
Details: DOI 10.1145/3365394,Vol. 16, No. 1
Jahr: 2019

Cover
Designing Partially Reversible Field-Coupled Nanocomputing Circuits
Autor: Jeferson Figueiredo Chaves, Marco Ribeiro, Frank Sill Torres, Omar Paranaiba Vilela Neto
Zeitschrift: IEEE Transactions on Nanotechnology
Details: DOI: 10.1109/TNANO.2019.2918057, Volume 18, Issue: 1, pp. 589-597
Jahr: 2019

Cover
Placement & Routing for Tile-based Field-coupled Nanocomputing Circuits is NP-complete
Autor: Marcel Walter, Robert Wille, Daniel Große, Frank Sill Torres, Rolf Drechsler
Zeitschrift: Journal on Emerging Technologies in Computing Systems (JETC)
Details: DOI: 10.1145/3312661, Volume 15, Issue 3, Number 29
Jahr: 2019

Cover
Combining Sequentialization-based Verification of Multi-Threaded C Programs with Symbolic Partial Order Reduction
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Zeitschrift: International Journal of Software Tools for Technology Transfer (STTT)
Details: DOI: 10.1007/s10009-019-00507-5, 21(5):545-565
Jahr: 2019

Cover
Security Validation of VP-based SoCs Using Dynamic Information Flow Tracking
Autor: Mehran Goli, Muhammad Hassan, Daniel Große, Rolf Drechsler
Zeitschrift: it-Information Technology
Details: DOI: 10.1515/itit-2018-0027
Jahr: 2019

Cover
Automated Non-intrusive Analysis of Electronic System Level Designs
Autor: Mehran Goli, Jannis Stoppe, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2018.2889665, Volume: 39, number: 2, pages: 492-505
Jahr: 2020

Cover
Determining Application-specific Knowledge for Improving Robustness of Sequential Circuits
Autor: Sebastian Huhn, Stefan Frehse, Robert Wille, Rolf Drechsler
Zeitschrift: IEEE Transactions On Very Large Scale Integration (VLSI) Systems
Details: DOI: 10.1109/TVLSI.2018.2890601, Volume 27, Number 4, Pages. 875-887
Jahr: 2019

Cover
The complexity of error metrics
Autor: Oliver Keszöcze, Mathias Soeken, Rolf Drechsler
Zeitschrift: Information Processing Letters
Details: DOI: 10.1016/j.ipl.2018.06.010, Volume 139, pp. 1-7
Jahr: 2018

Cover
On the complexity of design tasks for Digital Microfluidic Biochips
Autor: Oliver Keszöcze, Philipp Niemann, Arved Friedemann, Rolf Drechsler
Zeitschrift: Microelectronics Journal
Details: DOI: 10.1016/j.mejo.2018.05.013, Volume 78, Pages 35-45
Jahr: 2018

Cover
Measurement and evaluation of calorimetric descriptors for the suitability for evolutionary high-throughput material development
Autor: Anastasiya Toenjes, Heike Sonnenberg, Christina Plump, Rolf Drechsler, Axel von Hehl
Zeitschrift: Metals
Details: DOI: 10.3390/met9020149, Volume 9 (2), 149;
Jahr: 2019

Cover
Evaluation of (power) side-channels in cryptographic implementations
Autor: Florian Bache, Christina Plump, Jonas Wloka, Tim Güneysu, and Rolf Drechsler
Zeitschrift: it – Information Technology
Details: DOI: 10.1515/itit-2018-0028, Volume 61(1)
Jahr: 2019

Cover
"Copying allowed - But be careful, errors included!" - Effects of copying correct and incorrect solutions on learning outcomes
Autor: Cornelia Große
Zeitschrift: Learning and Instruction
Details: DOI: 10.1016/j.learninstruc.2018.06.004, Volume 58, pp. 173-181
Jahr: 2018

Cover
Arduinos in der Schule - Lernen mit Mikrocontrollern
Autor: Cornelia Große, Claudia Sobich, Sebastian Huhn, Markus Leuschner, Rolf Drechsler, Lutz Mädler
Zeitschrift: Computer + Unterricht
Details: Volume 110, May 2018, Pages 43-45
Jahr: 2018

Cover
Analysis of Total Ionizing Dose effects for highly scaled CMOS devices in Low Earth Orbit
Autor: Muhammad Sajida, Nikolay Chechenin, Frank Sill Torres, Muhammad Nabeel Hanif, Usman Ali Gulzari, Shakaib Arslan, Ehsan Ullah Khan
Zeitschrift: Nuclear Instruments & Methods in Physics Research Section B-Beam Interactions with Materials and Atoms
Details: DOI: 10.1016/j.nimb.2018.05.014, Volume 428, Pages 30-37
Jahr: 2018

Cover
Verifying SystemC using Intermediate Verification Language and Stateful Symbolic Simulation
Autor: Vladimir Herdt, Hoang M. Le, Daniel Große, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems (TCAD)
Details: DOI: 10.1109/TCAD.2018.2846638, 38(7):1359-1372
Jahr: 2018

Cover
Logic synthesis for RRAM-based in-memory computing
Autor: Saeideh Shirinzadeh, Mathias Soeken, Pierre-Emmanuel Gaillardon, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2017.2750064, Vol. 37, no. 7, pp. 1422-1435
Jahr: 2018

Cover
Behaviour Driven Development for Hardware Design
Autor: Melanie Diepenbeck, Ulrich Kühne, Mathias Soeken, Daniel Große, Rolf Drechsler
Zeitschrift: IPSJ Transactions on System LSI Design Methodology
Details: DOI: 10.2197/ipsjtsldm.11.29, Vol. 11, pp. 29-45, PDF Download
Jahr: 2018

Cover
An Automated Approach for Generating and Checking Control Logic for Reversible Hardware Description Language-Based Designs
Autor: Robert Wille, Oliver Keszöcze, Larts Othmer, Michael Kirkedal Thomsen, Rolf Drechsler
Zeitschrift: Journal of Low Power Electronics
Details: DOI: 10.1166/jolpe.2017.1515, Volume 13, Number 4, Pages 633-641
Jahr: 2017

Cover
An Energy-aware Model for the Logic Synthesis of Quantum-Dot Cellular Automata
Autor: Frank Sill Torres, Robert Wille, Philipp Niemann, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2018.2789782, Vol. 37, no. 12, pp. 3031-3041
Jahr: 2018

Cover
Frame Conditions in the Automatic Validation and Verification of UML/OCL Models: A Symbolic Formulation of modifies only Statements
Autor: Nils Przigoda, Philipp Niemann, Jonas Gomes Filho, Robert Wille, Rolf Drechsler
Zeitschrift: Computer Languages, Systems & Structures
Details: DOI: 10.1016/j.cl.2017.11.002, Volume 54, Pages 512-527
Jahr: 2018

Cover
Synthesis of optical circuits using binary decision diagrams
Autor: Arighna Deb, Robert Wille, Oliver Keszöcze, Saeideh Shirinzadeh, Rolf Drechsler
Zeitschrift: Integration, the VLSI Journal
Details: DOI: 10.1016/j.vlsi.2017.05.001, Volume 59, September 2017, Pages 42–51
Jahr: 2017

Cover
A PLiM computer for the IoT
Autor: Mathias Soeken, Pierre-Emmanuel Gaillardon, Saeideh Shirinzadeh, Rolf Drechsler, Giovanni De Micheli
Zeitschrift: Computer
Details: DOI: 10.1109/MC.2017.173, 50(6):35-40
Jahr: 2017

Cover
Information reconciliation schemes in physical-layer security: A survey
Autor: Christopher Huth, Rene Guillaume, Thomas Strohm, Paul Duplys, Irin Ann Samuel, Tim Güneysu
Zeitschrift: Computer Networks
Details: DOI: 10.1016/j.comnet.2016.06.014, 109: 84-104
Jahr: 2016

Cover
Towards a Verification Flow Across Abstraction Levels:
Verifying Implementations Against Their Formal Specification

Autor: Pablo Gonzalez-de-Aledo, Nils Przigoda, Robert Wille, Rolf Drechsler, Pablo Sanchez
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2016.2611494, 36(3):475-488
Jahr: 2017

Cover
metaSMT: Focus On Your Application And Not On Solver Integration
Autor: Heinz Riener, Finn Haedicke, Stefan Frehse, Mathias Soeken, Daniel Große, Rolf Drechsler, Görschwin Fey
Zeitschrift: International Journal of Software Tools for Technology Transfer
Details: DOI 10.1007/s10009-016-0426-1, 19(5):605-621
Jahr: 2017

Cover
Effects of multiple choice options in mathematics learning
Autor: Cornelia Große
Zeitschrift: European Journal of Science and Mathematics Education
Details: Volume 5, Number 2, pp 165-177
Jahr: 2017

Cover
Debugging hardware designs using dynamic dependency graphs
Autor: Jan Malburg, Alexander Finder, Görschwin Fey
Zeitschrift: Microprocessors and Microsystems (MICPRO)
Details: DOI: 10.1016/j.micpro.2016.10.004
Jahr: 2016

Cover
Verifying the Structure and Behavior in UML/OCL Models Using Satisfiability Solvers
Autor: Nils Przigoda, Mathias Soeken, Robert Wille, Rolf Drechsler
Zeitschrift: IET Cyber-Physical Systems: Theory & Applications
Details: DOI: 10.1049/iet-cps.2016.0022, Volume 1, Issue 1, pp. 49-59
Jahr: 2016

Cover
Empirical Results on Parity-based Soft Error Detection with Software-based Retry
Autor: Gökçe Aydos, Görschwin Fey
Zeitschrift: Microprocessors and Microsystems (MICPRO)
Details: DOI: 10.1016/j.micpro.2016.09.009, Volume 48
Jahr: 2016

Cover
Gates vs. Splitters: Contradictory Optimization Objectives in the Synthesis of Optical Circuits
Autor: Arighna Deb, Robert Wille, Oliver Keszöcze, Stefan Hillmich, Rolf Drechsler
Zeitschrift: Journal on Emerging Technologies in Computing Systems (JETC)
Details: DOI: 10.1145/2904445, Volume 13, Issue 1
Jahr: 2016

Cover
Reversible Synthesis of Symmetric Functions with a Simple Regular Structure and Easy Testability
Autor: Arighna Deb, Debesh K. Das, Hafizur Rahaman, Robert Wille, Rolf Drechsler, Bhargab B. Bhattacharya
Zeitschrift: Journal on Emerging Technologies in Computing Systems (JETC)
Details: DOI: 10.1145/2894757, Volume 12 Issue 4, Article No. 34
Jahr: 2016

Cover
On Optimization-based ATPG and its Application for Highly Compacted Test Sets
Autor: Stephan Eggersglüß, Kenneth Schmitz, Rene Krenz-Baath, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2016.2552822, Vol. 35(12), pp. 2104-2117
Jahr: 2016

Cover
Time-resolved detection of diffusion limited temperature gradients inside single isolated burning droplets using Rainbow Refractometry
Autor: Christopher Rosebrock, Saeideh Shirinzadeh, Mathias Soeken, Norbert Riefler, Thomas Wriedt, Rolf Drechsler, Lutz Mädler
Zeitschrift: Combustion and Flame
Details: DOI: 10.1016/j.combustflame.2016.03.007, Volume 168, June 2016, Pages 255–269
Jahr: 2016

Cover
Online collaborative learning in dyads: Effects of knowledge distribution and awareness
Autor: Michail D. Kozlov, Cornelia Große
Zeitschrift: Computers in Human Behavior
Details: DOI: 10.1016/j.chb.2016.01.043, Volume 59, 389-401
Jahr: 2016

Cover
Complexity of Reversible Circuits and their Quantum Implementations
Autor: Nabila Abdessaied, Matthew Amy, Rolf Drechsler, Mathias Soeken
Zeitschrift: Theoretical Computer Science
Details: DOI: 10.1016/j.tcs.2016.01.011, Volume 618, pp. 85–106
Jahr: 2016

Cover
Analyzing Inconsistencies in UML/OCL Models
Autor: Nils Przigoda, Robert Wille, Rolf Drechsler
Zeitschrift: Journal of Circuits, Systems and Computers
Details: DOI: 10.1142/S0218126616400211, Volume 25, Issue 03
Jahr: 2016

Cover
KI-Unterstützung im Systementwurf – Wenn Computer lernen, wie Computer arbeiten
Autor: Jannis Stoppe, Rolf Drechsler
Zeitschrift: Industrie 4.0 Management
Details: 1/2015, Nr. 5104
Jahr: 2015

Cover
Atomic distributions in crystal structures solved by Boolean satisfiability techniques
Autor: Mathias Soeken, Rolf Drechsler, Reinhard X. Fischer
Zeitschrift: Zeitschrift für Kristallographie - Crystalline Materials
Details: DOI: 10.1515/zkri-2015-1887, Z. Kristallogr. 2016; 231(2): 107–111
Jahr: 2015

Cover
SyReC: A Hardware Description Language for the Specification and Synthesis of Reversible Circuits
Autor: Robert Wille, Eleonora Schonborn, Mathias Soeken, Rolf Drechsler
Zeitschrift: INTEGRATION, the VLSI Journal
Details: DOI: 10.1016/j.vlsi.2015.10.001, 53(3):39-53
Jahr: 2016

Cover
Analyzing SystemC Designs: SystemC Analysis Approaches for Varying Applications
Autor: Jannis Stoppe, Rolf Drechsler
Zeitschrift: Sensors
Details: DOI: 10.3390/s150510399, Volume (issue) 15(5), pages 10399-10421
Jahr: 2015

Cover
Transaction-based online debug for NoC-based multiprocessor SoCs
Autor: Mehdi Dehbashi, Görschwin Fey
Zeitschrift: Microprocessors and Microsystems (MICPRO)
Details: DOI: 10.1016/j.micpro.2015.03.003, 39(3): 157-166
Jahr: 2015

Cover
Scalable One-Pass Synthesis for Digital Microfluidic Biochips
Autor: Robert Wille, Oliver Keszöcze, Tobias Boehnisch, Alexander Kroker, Rolf Drechsler
Zeitschrift: IEEE Design & Test of Computers
Details: DOI: 10.1109/MDAT.2015.2455344, Volume 32, Issue 66, Pages 41—50
Jahr: 2015

Cover
QMDDs: Efficient Quantum Function Representation and Manipulation
Autor: Philipp Niemann, Robert Wille, D. Michael Miller, Mitchell A. Thornton, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2015.2459034, Volume 35, Number 1, pp. 86-99
Jahr: 2016

Cover
Embedding of Large Boolean Functions for Reversible Logic
Autor: Mathias Soeken, Robert Wille, Oliver Keszöcze, D. Michael Miller, Rolf Drechsler
Zeitschrift: Journal on Emerging Technologies in Computing Systems (JETC)
Details: DOI 10.48550/arXiv.1408.3586, Volume 12, Issue 4
Jahr: 2015

Cover
Ancilla-free synthesis of large reversible functions using binary decision diagrams
Autor: Mathias Soeken, Laura Tague, Gerhard W. Dueck, Rolf Drechsler
Zeitschrift: Journal of Symbolic Computation
Details: DOI 10.48550/arXiv.1408.3955
Jahr: 2015

Cover
Benefits of illustrations and videos for technical documentations
Autor: Cornelia Große, Lisa Jungmann, Rolf Drechsler
Zeitschrift: Computers in Human Behavior
Details: DOI 10.1016/j.chb.2014.11.095, Volume 45, Pages 109–120
Jahr: 2015

Cover
Incorporating User Preferences in Many-Objective Optimization using Relation epsilon-Preferred
Autor: Nicole Drechsler, André Sülflow, Rolf Drechsler
Zeitschrift: Natural Computing
Details: DOI: 10.1007/s11047-014-9422-0, Volume 14, Issue 3, pp 469-483
Jahr: 2015

Cover
Exact Reordering of Circuit Lines for Nearest Neighbor Quantum Architectures
Autor: Robert Wille, Aaron Lye, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2014.2356463, Volume 33, Number 12, pp. 1818-1831
Jahr: 2014

Cover
Improved Cube List Based Cube Pairing Approach for Synthesis of ESOP Based Reversible Logic
Autor: Chandan Bandyopadhyay, Hafizur Rahaman, Rolf Drechsler
Zeitschrift: Transactions on Computational Science
Details: XXIV, pp 129–146, DOI: 10.1007/978-3-662-45711-5_8
Jahr: 2014

Cover
An Approach to Reversible Logic Synthesis Using Input and Output Permutations
Autor: Kamalika Datta, Indranil Sengupta, Hafizur Rahaman, Rolf Drechsler
Zeitschrift: Transactions on Computational Science
Details: XXIV, pp 92-110, DOI: 10.1007/978-3-662-45711-5_6
Jahr: 2014

Cover
A Simulation Based Approach for Automated Feature Localization
Autor: Jan Malburg, Alexander Finder, Görschwin Fey
Zeitschrift: IEEE Trans. on CAD of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2014.2360462, Volume:33, Issue: 12, pp. 1886-1899
Jahr: 2014

Cover
Dynamic X-filling for Peak Capture Power Reduction for Compact Test Sets
Autor: Stephan Eggersglüß
Zeitschrift: Journal of Electronic Testing: Theory and Applications
Details: DOI: 10.1007/s10836-014-5472-6, Volume 30, Number 5, pp. 557-567
Jahr: 2014

Cover
Latency Analysis for Sequential Circuits
Autor: Alexander Finder, André Sülflow, Görschwin Fey
Zeitschrift: IEEE Trans. on CAD of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2013.2292501, Volume 33, Number 4, pp. 643-647
Jahr: 2014

Cover
Special Issue on Reversible Computation
Autor: Robert Wille, Rolf Drechsler, Mehdi . B. Tahoori (editors)
Zeitschrift: Journal on Emerging Technologies in Computing Systems (JETC)
Details: DOI: 10.1145/2663349, Volume 11, Number 2
Jahr: 2014

Cover
An effective fault ordering heuristic for SAT-based dynamic test compaction techniques
Autor: Stephan Eggersglüß, Rolf Drechsler
Zeitschrift: it-Information Technology
Details: DOI: 10.1515/itit-2013-1041, Volume 56, Number 4, pp. 157-164
Jahr: 2014

Cover
Testing integrated circuits
Autor: Rolf Drechsler
Zeitschrift: it-Information Technology
Details: DOI: 10.1515/itit-2014-1043, Volume 56, Number 4, pp. 148-149
Jahr: 2014

Cover
Finite controlled invariants for sampled switched systems
Autor: Laurent Fribourg, Ulrich Kühne, Romain Soulat
Zeitschrift: Formal Methods in System Design
Details: DOI: 10.1007/s10703-014-0211-2
Jahr: 2014

Cover
Learning to solve story problems – supporting transitions between reality and mathematics
Autor: Cornelia Große
Zeitschrift: European Journal of Psychology of Education
Details: DOI: 10.1007/s10212-014-0217-6, Volume 29, Number 4, pp. 619-634
Jahr: 2014

Cover
Mathematics learning with multiple solution methods: effects of types of solutions and learners’ activity
Autor: Cornelia Große
Zeitschrift: Instructional Science
Details: DOI: 10.1007/s11251-014-9312-y, Volume 42, Number 5, pp 715-745
Jahr: 2014

Cover
Upper bounds for reversible circuits based on Young subgroups
Autor: Nabila Abdessaied, Mathias Soeken, Michael Kirkedal Thomsen, Rolf Drechsler
Zeitschrift: Information Processing Letters
Details: DOI: 10.1016/j.ipl.2014.01.003, Volume 114, Number 06, pp. 282-286
Jahr: 2014

Cover
Search-based testing using constraint-based mutation
Autor: Jan Malburg, Gordon Fraser
Zeitschrift: Software Testing, Verification and Reliability
Details: DOI 10.1002/stvr.1508, Volume 24, Issue 6, pp. 472–495
Jahr: 2013

Cover
Formale Verifikation von UML-basierten Spezifikationen, Prüfung der Korrektheit von Systementwürfen vor deren Implementierung
Autor: Mathias Soeken, Robert Wille, Rolf Drechsler
Zeitschrift: In Industrie Management 1/2013
Details: pp.44-48, 2013
Jahr: 2013

Cover
Considering Nearest Neighbor Constraints of Quantum Circuits at the Reversible Circuit Level
Autor: Robert Wille, Aaron Lye, Rolf Drechsler
Zeitschrift: Quantum Information Processing
Details: DOI: 10.1007/s11128-013-0642-5
Jahr: 2013

Cover
Quantum circuits employing roots of the Pauli matrices
Autor: Mathias Soeken, D. Michael Miller, Rolf Drechsler
Zeitschrift: Physical Review A
Details: DOI: 10.1103/PhysRevA.88.042322, Volume 88
Jahr: 2013

Cover
Trading Off Circuit Lines and Gate Costs in the Synthesis of Reversible Logic
Autor: Robert Wille, Mathias Soeken, D. Michael Miller, Rolf Drechsler
Zeitschrift: INTEGRATION, the VLSI Journal
Details: DOI: 10.1016/j.vlsi.2013.08.002, Volume 47, Number 2, pp. 284-294
Jahr: 2014

Cover
Speci fication-Driven Model Transformation Testing
Autor: Esther Guerra, Mathias Soeken
Zeitschrift: Software and Systems Modeling
Details: DOI 10.1007/s10270-013-0369-x
Jahr: 2013

Cover
A Formal Model for Embedded Brain Reading
Autor: Elsa Andrea Kirchner, Rolf Drechsler
Zeitschrift: Industrial Robot: an International Journal
Details: DOI 10.1108/IR-01-2013-318, Volume 40, Issue 6, pp. 530-540
Jahr: 2013

Cover
High Efficiency Time Redundant Hardened Latch for Reliable Circuit Design
Autor: Rahebeh Niaraki Asli, Saeideh Shirinzadeh
Zeitschrift: Journal of Electronic Testing
Details: DOI: 10.1007/s10836-013-5384-x, Volume 29, Issue 4, pp 537-544
Jahr: 2013

Cover
Debug Automation for Logic Circuits Under Timing Variations
Autor: Mehdi Dehbashi, Görschwin Fey
Zeitschrift: IEEE Design & Test of Computers
Details: DOI 10.1109/MDAT.2013.2266393, Volume 30, Issue 6, pp. 60-69
Jahr: 2013

Cover
Effect of Negative Control Lines on the Exact Synthesis of Reversible Circuits
Autor: Robert Wille, Mathias Soeken, Nils Przigoda, Rolf Drechsler
Zeitschrift: Multiple-Valued Logic and Soft Computing
Details: Volume 21, Number 5-6, 2013, pp. 627-640
Jahr: 2013

Cover
Parametric Verification and Test Coverage for Hybrid Automata using the Inverse Method
Autor: Laurent Fribourg, Ulrich Kühne
Zeitschrift: International Journal of Foundations of Computer Science (IJFCS)
Details: DOI 10.1007/978-3-642-24288-5_17, Volume 24, Number 02, pp. 233-250
Jahr: 2013

Cover
RevKit: An Open Source Toolkit for the Design of Reversible Circuits
Autor: Mathias Soeken, Stefan Frehse, Robert Wille, Rolf Drechsler
Zeitschrift: Reversible Computation 2011 (Series: Lecture Notes in Computer Science)
Details: DOI: 10.1007/978-3-642-29517-1_6, Volume 7165, 3rd Int. Workshop, RC 2011, Revised Papers, pp. 64-76
Jahr: 2012

Cover
Automated Design Debugging in a Testbench-Based Verification Environment
Autor: Mehdi Dehbashi, André Sülflow, Görschwin Fey
Zeitschrift: Embedded Hardware Design - Microprocessors and Microsystems (MICPRO)
Details: DOI 10.1109/DSD.2011.67, Volume 37, Issue 2, pp. 206-217
Jahr: 2013

Cover
Enhanced Formal Verification Flow for Circuits Integrating Debugging and Coverage Analysis
Autor: Daniel Große, Görschwin Fey, Rolf Drechsler
Zeitschrift: Electronic Communications of the EASST
Details: DOI 10.14279/tuj.eceasst.62.860, Volume 62, pp. 13
Jahr: 2013

Cover
Automatic TLM Fault Localization for SystemC
Autor: Hoang M. Le, Daniel Große, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2012.2188800 , Volume 31, Number 8, pp. 1249-1262
Jahr: 2012

Cover
Special Issue on Reversible Computation
Autor: Rolf Drechsler, Irek Ulidowski, Robert Wille (editors)
Zeitschrift: Multiple-Valued Logic and Soft Computing
Details: Volume 18, Number 1
Jahr: 2012

Cover
RevKit: A Toolkit for Reversible Circuit Design
Autor: Mathias Soeken, Stefan Frehse, Robert Wille, Rolf Drechsler
Zeitschrift: Multiple-Valued Logic and Soft Computing
Details: Volume 18, Number 1, pp. 55-65
Jahr: 2012

Cover
A Highly Fault-Efficient SAT-Based ATPG Flow
Autor: Stephan Eggersglüß, Rolf Drechsler
Zeitschrift: IEEE Design & Test of Computers
Details: DOI: 10.1109/MDT.2012.2205479, Volume 29, Issue 4, pp. 63-70
Jahr: 2012

Cover
Efficient Data Structures and Methodologies for SAT-based ATPG providing High Fault Coverage in Industrial Application
Autor: Stephan Eggersglüß, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2011.2152450, Volume 30, Number 9, pp. 1411-1415
Jahr: 2011

Cover
Effective Robustness Analysis using Bounded Model Checking Techniques
Autor: Görschwin Fey, André Sülflow, Stefan Frehse, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2011.2120950, Volume 30, Number 8, pp. 1239-1252
Jahr: 2011

Cover
Synthesis of Quantum Circuits for Linear Nearest Neighbor Architectures
Autor: Mehdi Saeedi, Robert Wille, Rolf Drechsler
Zeitschrift: Quantum Information Processing
Details: DOI :10.1007/s11128-010-0201-2, Volume 10, Number 3, pp. 355-377
Jahr: 2011

Cover
Debugging Reversible Circuits
Autor: Robert Wille, Daniel Große, Stefan Frehse, Gerhard W. Dueck, Rolf Drechsler
Zeitschrift: INTEGRATION, the VLSI Journal
Details: DOI: 10.1016/j.vlsi.2010.08.002, Volume 44, Number 1, pp. 51-61, January
Jahr: 2011

Cover
BDD-Based Synthesis of Reversible Logic
Autor: Robert Wille, Rolf Drechsler
Zeitschrift: International Journal of Applied Metaheuristic Computing (IJAMC)
Details: DOI: 10.1145/1629911.1629984, Volume 1, Number 4, pp. 25-41
Jahr: 2010

Cover
Automatische formale Verifikation der Fehlertoleranz von Schaltkreisen
Autor: Görschwin Fey, Andre Sülflow, Stefan Frehse, Rolf Drechsler
Zeitschrift: it-Information Technology
Details: DOI: 10.1524/itit.2010.0594, Volume 52, Number 4, pp. 216-223
Jahr: 2010

Cover
Towards Fully Automatic Synthesis of Embedded Software
Autor: Ulrich Kühne, Daniel Große, Rolf Drechsler
Zeitschrift: IEEE Embedded Systems Letters
Details: DOI: 10.1109/LES.2010.2049983, Volume 2, Number 3, pp. 53-57
Jahr: 2010

Cover
Effect of BDD Optimization on Synthesis of Reversible and Quantum Logic
Autor: Robert Wille, Rolf Drechsler
Zeitschrift: Electronic Notes in Theoretical Computer Science
Details: DOI: 10.1016/j.entcs.2010.02.006, Volume 253, Number 6, pp. 57-70
Jahr: 2010

Cover
Incremental Solving Techniques for SAT-based ATPG
Autor: Daniel Tille, Stephan Eggersglüß, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2010.2044673, Volume 29, Number 7, pp. 1125-1130
Jahr: 2010

Cover
Synthese reversibler Logik
Autor: Robert Wille, Rolf Drechsler
Zeitschrift: it-Information Technology
Details: DOI: 10.1524/itit.2010.0568,Volume 52, Number 1, pp. 30-38
Jahr: 2010

Cover
MONSOON: SAT-based ATPG for Path Delay Faults Using Multiple-Valued Logics
Autor: Stephan Eggersglüß, Görschwin Fey, Andreas Glowatz, Friedrich Hapke, Juergen Schloeffel, Rolf Drechsler
Zeitschrift: Journal of Electronic Testing: Theory and Applications
Details: DOI: 10.1007/s10836-010-5146-y,Volume 26, Number 3, pp. 307-322, Pdf download
Jahr: 2010

Cover
Overcoming the limitations of data introspection for SystemC
Autor: Christian Genz, Rolf Drechsler
Zeitschrift: EDA Tech Forum
Details: DOI: 10.1109/DATE.2009.5090734, Volume 6, Issue 5, pp. 30-34
Jahr: 2009

Cover
Formale Verifikation von logistischen Prozessmodellen
Autor: B. Scholz-Reiter, M. Lütjen, C. Ruthenbeck, F. Harjes, Rolf Drechsler
Zeitschrift: ERP Management
Details: Volume 5, pp.44-47
Jahr: 2009

Cover
Weighted A* search - unifying view and application
Autor: Rüdiger Ebendt, Rolf Drechsler
Zeitschrift: Artificial Intelligence
Details: DOI: 10.1016/j.artint.2009.06.004, Volume 173, Issue 15, pp. 1367-1456
Jahr: 2009

Cover
Effiziente Erfüllbarkeitsalgorithmen für die Generierung von Testmustern
Autor: Rolf Drechsler, Stephan Eggersglüß, Görschwin Fey, Jürgen Schlöffel, Daniel Tille
Zeitschrift: it - information technology
Details: DOI: 10.1524/itit.2009.0529, Volume 51, Number 2, pp. 102-111, Pdf download
Jahr: 2009

Cover
Exact Synthesis of Elementary Quantum Gate Circuits
Autor: Daniel Große, Robert Wille, Gerhard W. Dueck, Rolf Drechsler
Zeitschrift: Multiple-Valued Logic and Soft Computing
Details: DOI: 10.1109/ISMVL.2008.42, Volume 15, Number 4, pp. 283-300
Jahr: 2009

Cover
Advanced Verification by Automatic Property Generation
Autor: Frank Rogin, Thomas Klotz, Görschwin Fey, Rolf Drechsler, Steffen Rülke
Zeitschrift: IET Computers & Digital Techniques
Details: DOI: 10.1049/iet-cdt.2008.0110, Volume 3, Issue 4, pp. 338-353
Jahr: 2009

Cover
Exact Multiple Control Toffoli Network Synthesis with SAT Techniques
Autor: Daniel Große, Robert Wille, Gerhard W. Dueck, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2009.2017215, Volume 28, Number 5, pp. 703-715
Jahr: 2009

Cover
Modeling and Proving Completeness in Formal Verification of Counting Heads
Autor: Sebastian Kinder, Rolf Drechsler
Zeitschrift: Software Tools for Technology Transfer (STTT)
Details: DOI: 10.1007/s10009-008-0084-z, Springer, Volume 10, Number 6, pp. 521 - 534
Jahr: 2008

Cover
On Acceleration of SAT-based ATPG for Industrial Designs
Autor: Rolf Drechsler, Stephan Eggersglüß, Görschwin Fey, Andreas Glowatz, Friedrich Hapke, Juergen Schloeffel, Daniel Tille
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2008.923107, Volume 27, Number 7, pp. 1329-1333
Jahr: 2008

Cover
Improved SAT-based Reachability Analysis with Observability Don’t Cares
Autor: Sean Safarpour, Andreas Veneris and Rolf Drechsler
Zeitschrift: Journal on Satisfiability, Boolean Modeling and Computation (JSAT)
Details: DOI: 10.3233/SAT190050, Volume 5, pp. 1-25, Special Volume on Application of Constraints to Formal Verification
Jahr: 2008

Cover
On the Construction of Small Fully Testable Circuits with Low Depth
Autor: Görschwin Fey, Anna Bernasconi, Valentina Ciriani, Rolf Drechsler
Zeitschrift: Embedded Hardware Design - Microprocessors and Microsystems (MICPRO)
Details: DOI: 10.1016/j.micpro.2008.03.005, Special Issue, Volume 32, Issues 5-6, pp. 263-269
Jahr: 2008

Cover
Logic Minimization and Testability of 2-SPP Networks
Autor: Anna Bernasconi, Valentina Ciriani, Rolf Drechsler, Tiziano Villa
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2008.923072, Volume 27, Number 7, pp. 1190-1202
Jahr: 2008

Cover
Analyzing Functional Coverage in Bounded Model Checking
Autor: Daniel Große, Ulrich Kühne, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2008.925790, Volume 27, Number 7, pp. 1305-1314
Jahr: 2008

Cover
Automatic Fault Localization for Property Checking
Autor: Görschwin Fey, Stefan Staber, Roderick Bloem, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2008.923234, Volume 27, Number 6, pp. 1138-1149, June
Jahr: 2008

Cover
BDD-based Verification of Scalable Designs
Autor: Daniel Große, Rolf Drechsler
Zeitschrift: Facta Universitatis, Series: Electronics and Energetics
Details: DOI: 10.2298/FUEE0703367G, Volume 20, Number 3, pp. 367-379
Jahr: 2007

Cover
Building Free Binary Decision Diagrams Using SAT Solvers
Autor: Robert Wille, Görschwin Fey, Rolf Drechsler
Zeitschrift: Facta Universitatis, Series: Electronics and Energetics
Details: DOI: 10.2298/FUEE0703381W, Volume 20, Number 3, pp. 381-394,
Jahr: 2007

Cover
An Integrated Approach for Combining BDDs and SAT Provers
Autor: Rolf Drechsler, Görschwin Fey, Sebastian Kinder
Zeitschrift: Facta Universitatis, Series: Electronics and Energetics
Details: DOI: 10.2298/FUEE0703415D, Volume 20, Number 3, pp. 415-436
Jahr: 2007

Cover
Simultaneous On-Chip Bus Synthesis and Voltage Scaling Under Random On-Chip Data Traffic
Autor: Sujan Pandey, Manfred Glesner
Zeitschrift: IEEE Transaction on Very Large Scale Integration (VLSI) Systems
Details: DOI: 10.1109/TVLSI.2007.903924Volume 15, Number 10, pp. 1111-1124
Jahr: 2007

Cover
Technische Dokumentation von Soft- und Hardware in eingebetteten Systemen
Autor: Beate Muranko, Rolf Drechsler
Zeitschrift: it - information technology
Details: DOI: 10.1524/itit.2007.49.2.110, Number 2, pp. 110-117, Pdf download
Jahr: 2007

Cover
Exact minimisation of path-related objective functions for binary decision diagrams
Autor: Rüdiger Ebendt, Rolf Drechsler
Zeitschrift: IEE Proceedings Computer & Digital Techniques
Details: DOI: 10.1049/ip-cdt:20050181, Volume 153, Number 4, pp. 231-242
Jahr: 2006

Cover
Testability of SPP Three-Level Logic Networks in Static Fault Models
Autor: Valentina Ciriani, Anna Bernasconi, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2005.862746, Volume 25, Number 10, pp. 2241-2248
Jahr: 2006

Cover
The Effect of Improved Lower Bounds in Dynamic BDD Reordering
Autor: Rüdiger Ebendt, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2005.854632, Volume 25, Number 5, pp. 902-909
Jahr: 2006

Cover
Minimizing the Number of Paths in BDDs - Theory and Algorithm
Autor: Görschwin Fey, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2005.852662, Volume 25, Number 1, pp. 4-11
Jahr: 2006

Cover
Combining Ordered Best-First Search with Branch and Bound for Exact BDD Minimization
Autor: Rüdiger Ebendt, Wolfgang Günther, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2005.852053, Volume 24, Number 10, pp. 1515-1529
Jahr: 2005

Cover
System Level Validation Using Formal Techniques
Autor: Rolf Drechsler, Daniel Große
Zeitschrift: IEE Proceedings Computer & Digital Techniques, Special Issue on Embedded Microelectronic Systems: Status and Trends
Details: DOI: 10.1049/ip-cdt:20045073, Volume 152, Number 3, pp. 393-406
Jahr: 2005

Cover
Generic Implementation of Multi-Valued Decision Diagram Packages
Autor: Rolf Drechsler, Dragan Jankovic, Radomir Stankovic
Zeitschrift: Multiple-Valued Logic and Soft Computing
Details: Volume 11, Numbers 1-2, pp. 1-18
Jahr: 2005

Cover
Project-Based Learning in Student Teams in Computer Science Education
Autor: Andreas Breiter, Görschwin Fey, Rolf Drechsler
Zeitschrift: Facta Universitatis, Series: Electronics and Energetics
Details: DOI: 10.2298/FUEE0502165B, Volume 18, Number 2, pp. 165-180
Jahr: 2005

Cover
Synthesis of Fully Testable Circuits from BDDs
Autor: Rolf Drechsler, Junhao Shi, Görschwin Fey
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2004.823342, Volume 23, Number 3
Jahr: 2004

Cover
Methods for Construction of Recursive Algorithms for Reed-Mulle-Fourier Polarity Matrices Calculation
Autor: Dragan Jankovic, Rolf Drechsler
Zeitschrift: Multiple-Valued Logic and Soft Computing
Details: Volume 10, Numbers 1, pp. 29-50
Jahr: 2004

Cover
Using Word-Level Information in Formal Hardware Verification
Autor: Rolf Drechsler
Zeitschrift: Automation and Remote Control
Details: DOI: 10.1023/B:AURC.0000030907.28679.82, Volume 65, Issue 6, pp. 963-977
Jahr: 2004

Cover
An Improved Branch and Bound Algorithm for Exact BDD Minimization
Autor: Rüdiger Ebendt, Wolfgang Günther, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/TCAD.2003.819427, Volume 22, Number 12, pp. 1657-1663
Jahr: 2003

Cover
Recursive Bi-Partitioning of Netlists for Large Number of Partitions
Autor: Rolf Drechsler, Wolfgang Günther, Thomas Eschbach, Lothar Linhard, Gerhard Angst
Zeitschrift: Journal of Systems Architecture - the Euromicro Journal
Details: DOI: 10.1109/DSD.2002.1115349, Volume 49, pp. 521-528
Jahr: 2003

Cover
Ein Ansatz zur formalen Verifikation von Schaltungsbeschreibungen in SystemC
Autor: Daniel Große, Rolf Drechsler
Zeitschrift: it - information technology
Details: DOI: 10.1524/itit.45.4.219.22731, Number 4, pp. 219-226
Jahr: 2003

Cover
Efficient Minimization and Manipulation of Linearly Transformed Binary Decision Diagrams
Autor: Wolfgang Günther, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computers
Details: DOI: 10.1109/TC.2003.1228514, Volume 52, Number 9, pp. 1196-1209
Jahr: 2003

Cover
Exact Routing with Search Space Reduction
Autor: Frank Schmiedle, Rolf Drechsler, Bernd Becker
Zeitschrift: IEEE Transactions on Computers
Details: DOI: 10.1109/TC.2003.1204836, Volume 52, Number 6, pp. 815-825
Jahr: 2003

Cover
Computer Architecture Core of Knowledge for Computer Science Studies
Autor: M. Stojcev, I. Milentijevic, D. Kehagias, Rolf Drechsler, M. Gusev
Zeitschrift: Cyprus Computer Society Journal
Details: Volume I, Edition 4, April
Jahr: 2003

Cover
Polynomial Formal Verification of Multipliers
Autor: Martin Keim, Rolf Drechsler, Bernd Becker, Michael Martin, Paul Molitor
Zeitschrift: Formal Methods in System Design: An International Journal
Details: DOI: 10.1023/A:1021752130394, Volume 22, Issue 1, pp. 39-58
Jahr: 2003

Cover
Low Power Optimization Techniques for BDD Mapped Circuits Using Temporal Correlation
Autor: Rolf Drechsler, Mikael Kerttu, Per Lindgren, Mitch Thornton
Zeitschrift: Canadian Journal of Electrical and Computer Engineering
Details: Volume 27, Number 4, pp. 159-164, October
Jahr: 2002

Cover
Efficient Algorithms for Creation of Linearly-independent Decision Diagrams and their Mapping to Regular Layouts
Autor: M. Perkowski, B. Falkowski, M. Chrzanowska-Jeske, Rolf Drechsler
Zeitschrift: In VLSI Design — An International Journal of Custom-Chip Design, Simulation, and Testing, Special Issue on Spectral Techniques and Decision Diagrams
Details: Volume 14, Number 1, pp. 35-52, February 2002
Jahr: 2002

Cover
Logic Circuit Equivalence Checking Using Haar Spectral Coefficients and Partial BDDs
Autor: M. A. Thornton, Rolf Drechsler, W. Günther
Zeitschrift: VLSI Design
Details: DOI: 10.1080/10655140290009800, Volume 14, Article ID 290173
Jahr: 2002

Cover
Minimization of Word-level Decision Diagrams
Autor: Rolf Drechsler, Wolfgang Günther, Stefan Höreth.
Zeitschrift: INTEGRATION, the VLSI Journal
Details: DOI: 10.1016/S0167-9260(02)00047-0, Volume 33, Issue 1-2, pp. 39-70
Jahr: 2002

Cover
Minimization of Free BDDs
Autor: Wolfgang Günther, Rolf Drechsler
Zeitschrift: INTEGRATION, the VLSI Journal
Details: DOI: 10.1109/ASPDAC.1999.760024, Volume 32, Issue 1-2, pp. 41-59
Jahr: 2002

Cover
Verifying Integrity of Decision Diagrams
Autor: Rolf Drechsler
Zeitschrift: INTEGRATION, the VLSI Journal
Details: DOI: 10.1016/S0167-9260(02)00042-1, Volume 32, Issue 1-2, pp. 61-75
Jahr: 2002

Cover
Heuristic Learning based on Genetic Programming
Autor: Frank Schmiedle, Nicole Drechsler, Daniel Große and Rolf Drechsler
Zeitschrift: Genetic Programming and Evolvable Machines
Details: DOI: 10.1023/A:1020988925923, Volume 3, pp. 363-388
Jahr: 2002

Cover
Dynamic Re-Encoding During MDD Minimization
Autor: Frank Schmiedle, Wolfgang Günther, Rolf Drechsler
Zeitschrift: Multiple-Valued Logic - An International Journal
Details: Volume 8, Numbers 5-6, pp. 625-643
Jahr: 2002

Cover
History-based Dynamic BDD Minimization
Autor: Rolf Drechsler, Wolfgang Günther
Zeitschrift: INTEGRATION, the VLSI Journal
Details: DOI: 10.1016/S0167-9260(01)00021-9, Volume 31, Issue 1, pp. 51-63
Jahr: 2001

Cover
Äquivalenzvergleich digitaler Schaltungen im industriellen Umfeld
Autor: Rolf Drechsler
Zeitschrift: it+ti - Informationstechnik und Technische Informatik
Details: DOI: 10.1524/itit.2001.43.4.200, Oldenbourg Wissenschaftsverlag, Number 4, pp. 200-205
Jahr: 2001

Cover
Fault Simulation in Multi-Valued Logic Networks
Autor: Rolf Drechsler, Martin Keim, Bernd Becker
Zeitschrift: Multiple-Valued Logic - An International Journal
Details: Volume 7, Numbers 1-2, pp. 25-47
Jahr: 2001

Cover
Binary Decision Diagrams in Theory and Practice
Autor: Rolf Drechsler, Detlef Sieling
Zeitschrift: Software Tools for Technology Transfer (STTT)
Details: DOI: 10.1007/s100090100056, Springer, Number 3, pp. 112-136
Jahr: 2001

Cover
Combining GAs and Symbolic Methods for High Quality Tests of Sequential Circuits
Autor: Martin Keim, Nicole Drechsler, Rolf Drechsler, Bernd Becker
Zeitschrift: Journal of Electronic Testing, Theory and Application (JETTA)
Details: DOI: 10.1023/A:1011193725824, No. 17, pp. 37-51
Jahr: 2001

Cover
Decision Diagram Method for Calculation of Pruned Walsh Transform
Autor: Dragan Jankovic, Radomir Stankovic, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computers
Details: DOI: 10.1109/12.908990, Volume 50, Number 2, pp. 147-157
Jahr: 2001

Cover
Using Lower Bounds during Dynamic BDD Minimization
Autor: Rolf Drechsler, Wolfgang Günther, Fabio Somenzi
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/43.905674, Volume 20, Number 1, pp. 51-57
Jahr: 2001

Cover
ACTion: Combining Logic Synthesis and Technology Mapping for MUX based FPGAs
Autor: Wolfgang Günther and Rolf Drechsler.
Zeitschrift: Journal of Systems Architecture - the Euromicro Journal
Details: DOI: 10.1016/S1383-7621(00)00027-8, Volume 46, Issue 14, pp. 1321-1334, December
Jahr: 2000

Cover
EXOR transform of inputs to design efficient two-level AND/EXOR adders
Autor: Rolf Drechsler, Bernd Becker
Zeitschrift: Electronics Letters
Details: DOI: 10.1049/el:20000214, Stevenage Bd. 36, Ausg. 3, (Feb 3, 2000): 1-2
Jahr: 2000

Cover
Boolean Function Representation and Spectral Characterization Using AND/OR Graphs
Autor: Alenka Zuzek, Rolf Drechsler, Mitch Thornton
Zeitschrift: INTEGRATION, the VLSI Journal
Details: DOI: 10.1016/S0167-9260(00)00003-1, Volume 29, Issue 2, pp. 101-116, September
Jahr: 2000

Cover
Genetic Algorithm for Minimization of fixed polarity Reed-Muller expressions
Autor: Rolf Drechsler, Bernd Becker and Nicole Drechsler
Zeitschrift: IEE Proceedings Computers and Digital Techniques
Details: DOI: 10.1049/ip-cdt:20000743, Volume 147, Number 5, September
Jahr: 2000

Cover
On the Computational Power of Linearly Transformed BDDs
Autor: Wolfgang Günther, Rolf Drechsler
Zeitschrift: Information Processing Letters
Details: DOI: 10.1016/S0020-0190(00)00083-1, Volume 75, Nummer 3, pp. 119-125, August
Jahr: 2000

Cover
Fast Exact Minimization of BDDs
Autor: Rolf Drechsler, Nicole Drechsler, Wolfgang Günther
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: Volume 19, Number 3, pp. 384-389, March
Jahr: 2000

Cover
Pseudo Kronecker Expressions for Symmetric Functions
Autor: Rolf Drechsler
Zeitschrift: IEEE Transactions on Computers
Details: DOI: 10.1109/12.795226, Volume 48, Number 9, pp. 987-990, September
Jahr: 1999

Cover
ETDD-based synthesis of two-dimensional cellular arrays for multi-output incompletely specified Boolean functions
Autor: G. Lee, Rolf Drechsler, M. A. Perkowski
Zeitschrift: IEE Proceedings - Computers and Digital Techniques
Details: DOI: 10.1049/ip-cdt:19990798, Volume 146, Issue 6, pp. 302–308
Jahr: 1999

Cover
OKFDD minimization by genetic algorithms with application to circuit design
Autor: Rolf Drechsler, Bernd Becker, Nicole Drechsler
Zeitschrift: Integration
Details: DOI: 10.1016/S0167-9260(99)00017-6, Volume 28, Issue 2, pp. 121-139
Jahr: 1999

Cover
Testability of 2-Level AND/EXOR Circuits
Autor: Rolf Drechsler, Harry Hengster, Horst Schäfer, Joachim Hartmann, Bernd Becker
Zeitschrift: Journal of Electronic Testing, Theory and Application (JETTA)
Details: DOI: 10.1023/A:1008306002882, Volume 14, Number 3, pp. 173-192, June
Jahr: 1999

Cover
BDD Minimization Using Symmetries
Autor: Christoph Scholl, Dirk Möller, Paul Molitor, Rolf Drechsler
Zeitschrift: IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems
Details: DOI: 10.1109/43.743706, Volume 18, Number 2, pp. 81-100, February
Jahr: 1999

Cover
On Variable Ordering and Decomposition Type Choice in OKFDDs
Autor: Rolf Drechsler, Bernd Becker, Andrea Jahnke
Zeitschrift: IEEE Transactions on Computers
Details: DOI: 10.1109/12.737685, Volume 47, Number 12, December
Jahr: 1998



©2023 | AG Rechnerarchitektur | Kontakt | Impressum & Datenschutz